关于Leon2软核

关于Leon2软核

首页休闲益智核模拟器2更新时间:2024-07-29

下面是两篇关于Leon2的两篇论文,看过之后应该就对Leon2了解了:

(很多人说国内论文质量差,但在了解某项技术的初期,还是有些价值的。)


Leon2微处理器IP核原理及应用

摘 要 介绍一款开源的、符合SPARCV8规范的、采用RISC结构的32位处理器IP按——Leon2,它可以从互联网上免费下载使用。Leon2是以 VHDL形式存在的软核、完全可综合、内部硬件资源可裁剪、主要面向嵌入式应用系统、可以用FPGA/CPLD和ASIC等技术实现。文中介绍Leon2 的结构、技术特点、软硬件的开发过程和一些应用实例。

关键词 Leon2 SPARC V8 AMBA VHDL 交叉编译器

引 言

Leon2是GaislerResearch公司于2003年研制完成的一款32位、符合IEEE-1754(SPARCVS)结构的处理器IP核。它的 前身是欧空局研制的Leon以及ERC32。Leon2的目标主要是权衡性能和价格、高的可靠性、可移植性、可扩展性、软件兼容性等.其内部硬件资源可裁 剪(可配置)、主要面向嵌入式系统,可以用FPGA/CPLD和ASIC等技术实现。

Leon2处理器的片上资源如下:分离的指令和数据Cache、硬件 乘法器和除法器、中断控制器、具有跟踪缓冲器的调试支持单元(DSU)、2个24位定时器、2个通用异步串口(UART)、低功耗模式、看门狗电路、16 位I/O端口、灵活的存储控制器、以太网MAC和PCI接口。Leon2的VHDL模块可以在大多数综合工具上进行综合,可以在任何符合VHDL-87标 准的仿真器上进行仿真;采用AMBA AHB/APB总线结构的用户设计新模块,可以很容易加入到Leon2中,完成用户的定制应用。

Leon2在欧洲和美国都有众多的应用者;国内航天部77l所和中科院国家天文台等单位正在研制基于Leon2的应用系统。为了使Leon2得到国内业界 的了解和进一步的应用,本文将从Leon2的结构、技术特点、软硬件的开发过程和应用实例等四个方面进行介绍。在技术特点中,主要介绍了它所遵循的 SPARCV8规范、采用的AMBH2.0内部系统总线协议、容错设计方法和VHDL编程风格等。

1 Leon2的结构

图1是Leon2的片上结构框图。整个系统结构由AMBA AHB和APB支撑,连接着SPARC处理器、Cache系统及片上外设等设备。

(1)处理器单元

处理器单元由整数单元IU、浮点单元FPU、协处理器单元CP构成。整数单元的特点有:5级指令流水、分离的数据和指令Cache、支持2~32个寄存器 窗口、可选的4个观察口寄存器、可配置乘法器、可选的16×16位MAC(40位累加器)、基2除法器。可支持的浮点处理器有 GaislerResearch的GRFPU,Sun Microsystems的Meiko FPU或其他通用浮点处理单元。Leon2提供了一个通用的用户可定义的协处理器,同IU并行运行增强了系统功能。

(2)Cache子系统

可配置的模式有直接映射模式和2~4组相联的多组相联模式;可选的三种替换算法是LRU、LRR和伪随机。

(3)片上外设

片上外设包括:2个中断控制器、2个UART、2个Timer和1个Watchdog、16位的I/O口、存储器控制器(PROM、SRAM、 S13RAM)、PCI桥接器、Ethernet接口、高级片上调试支持单元(DSU)和跟踪缓冲器等.中断控制器可以最大处理46个内部和外部中断。2 个串行通信口 (UART),支持8位数据帧、1位校验位、1位停止位,支持硬件流控功能。调试支持单元(DSU)能够把处理器设置到调试模式,通过它可以读写处理器的 所有寄存器和Cache。DSU还包括一个跟踪缓存,可以保存已执行了的指令和AHB上传输的数据。

2 Leon2的技术特点

Leon2的技术特点主要有:采用SPARCV8结构、采用内部AMBA总线结构、容错设计和VHDL编程风格。

2.1 SPARC V8

SPARC是可扩展处理器体系架构的首字母缩略词,是一个从RISC派生出的CPU指令集结构(ISA)。指令集结构是指:定义了指令、寄存器、指令和数 据存储器、指令执行对寄存器和存储器的影响、控制指令执行的算法等内容,但不定义时钟周期、每条指令的执行时钟周期数(CPI)、数据通路等内容。作为一 个结构,SPARC允许在具有不同性能价格比的广泛应用中,实现不同系列的芯片和系统,包括科学、工程、编程、实时和商业应用等。SPARC的设计目标是 优化编译器和易于硬件流水线实现。

SPARC处理器由整数单元(IU)、浮点和协处理器单元(FPU和CP)构成。它们各自都有自己的寄存器,其中IU有8个全局寄存器、2~3N个寄存器窗口(由用户设定),浮点和协处理器单元的选择依赖于具体应用。

(1)寄存器窗口

它是SPARC的最大技术特点。SPARC处理器包括两种寄存器:一种是通用寄存器,另一种是控制/状态寄存器。IU的通用寄存器叫r寄存器。一个IU的 实现可能包括40~520个32位r寄存器。这些寄存器又被分成8个全局寄存器,再加上2~32个与实现有关的16位寄存器组,每一个寄存器组又进一步分 为8个输入寄存器和8个输出寄存器。图2是一个8窗口寄存器结构示意图。在任何一个时刻,一条指令只能访问8个全局寄存器和由当前窗口指针(CWP)指定 的当前窗口。这个窗口是由8个输入寄存器、8个局部寄存器和8个输入寄存器构成。从图2中可以看出,两个相邻窗口的入和出寄存器是共享的。当前是按窗口号 顺序(或前或后)转换的,正好上一次的输出寄存器成为当前窗口的输入寄存器,这样可以减少存储器读写和运行时的现场保护。

(2)支持的数据格式

基本有三种:8/16/32/64位有符号整数、8/16/32/64位无符号整数、32/64/128位浮点数。浮点数格式符合ANSI/IEEE Standard 754-1985。

(3)Traps

它是一种矢量化的、通过一个包含每个陷阱句柄的前4条指令的特殊陷阱表,将控制转向监视软件。陷阱种类有精确陷阱、延迟陷阱和中断陷阱。

(4)指令集有

所有指令都编码成32位格式,可以分成六个基本的类型,一共有72条指令。

六种基本的指令分别 是:

  1. Load/store;
  1. Arthmetic/logical/shift;
  1. Control transfer;
  1. Read/write control register;
  1. Floating-point operate;
  1. Coprocessor operate。

(5)存储器模式

SPARC的存储器模型定义了存储器操作的语义,指定了处理器发射出这些操作的顺序与这些指令被存储器执行的顺序是如何进行关联的。标准的存储器模型叫作 TSO(Total Store Ordering),所有的SPARC实现必须提供这个模型;另一个模型叫作PSO,它可以提供更高性能的存储系统。

2.2 AMBA

AMBA(Advanced Microntroller Bus Architecture)规范,是一种已制定的、开放的规范,充当着SoC设计的架构,正迅速成为SoC和IP库开发事实上的标准,为高性能嵌入式微控 制器设计定义了一种片上通信标准.AMBA规范中定义了三种不同的总线,即AHB、ASB和APBAHB是为高性能、高时钟频率的系统模块提供的,担任着 高性能系统的背板总线、支持多处理器、片上各种存储器和片外外部存储器接口连接到低功耗辅助宏单元。ASB也是为高性能系统模块提供,当AHB的高性能特 点无需要时,就可以用ASB来代替;它也支持多处理器、片上各种存储器和片外外部存储器接口连接到低功耗辅助宏单元。APB是为低功耗的外围设备提供的, 它优化到为最小功耗和减小接口的复杂性来支持辅助功能。

图3是AMBA总线微控制器的典型结构。它含有一套高性能的背板总线,AHB或ASB;它能支撑外部存储器带宽,在这套总线挂接着CPU、片上存储器和其 他DMA设备。通过一个桥接器,可以把AHB和APB总线连接起来。APB上面连接着大多数的辅助设备,如UART、定时器、PIO等。

2.3 容错问题

为了适用于航空航天的高可靠性应用,Leon2采用多层次的容错策略;奇偶校验、TMR(三模冗余)寄存器、片上EDAC(检错和纠错)、流水线重启、强 迫Cache不命中等.尽管现在几乎所有CPU都有一些常规的容错措施,如奇偶校验、流水线重启等,像IBM S/390 G5还采用了写阶段以前的全部流水线复制技术.IntelItanium采用的混合ECC和校验编码等技术;但远没有Leon2那样,采用如此全面的容错 措施。

Leon2将时序(存储)单元的状态翻转作为数字容错的主要内容,根据时序逻辑的不同特点和性质,采用了不同的容错技术和手段.

  1. Cache的容错。大的Cache对高性能CPU来说是至关重要的,而且位于处理器的关键(时间)通路上。为了减少复杂性和时间开销,错误检测的方法采 用2位的奇偶校验位,l位用作奇校验,l位偶校验,因此可以检查所有的错误情况,在读Cache的同时进行校验。当校验出错误,强制Cache丢失,并从 外部存储去获取数据。
  1. 处理器寄存器文件的错误保护。寄存器文件是处理器内部的寄存器堆,内部的寄存器对于指令的运行速度和用户程序设计的灵活程度都是很重要的。内部寄存器的 使用频率很大,其状态的正确性是也很关键。Leon2采用1、2奇偶校验位和(32.7)BCH校验和进行容错。
  1. 触发器的错误保护。处理器的2500个触发器均采用三模冗余的方式进行容错,通过表决器来决出正确的输出。
  1. 外部存储器的错误保护。采用挂上的EDAC单元实现。EDAC:采用标准的(32.7)BCH码,每32位字可纠正1位错误和检测2位错误。
  1. 主检测模式。是指两个相同的处理器同时并行执行相同的指令,只让其中的主模式处理器输出结果,不让检测模式的处理器输出结果。在内部,将检测模式处理器的输出同主模式处理器输出进行比较,以检查错误是否存在。这种工作模式,可以应用于要求更高可靠性的情况。
  1. 在软件上,还要考虑Cache的清洗问题。因为上面介绍的五种方法,只有在对相应的单元进行访问时才进行错误检查。如果存储单元的数据不常使用,这些单元的错误会逐渐增加,因此必须使用一些软件的方法来实现。
2.4 编码风格

Leon2的VHDL编码风格,同传统以并发执行的并发进程(或并发语句)作为模块、所谓的“数据流”编码风格不一样,采用的是“二进程”的设计方法。这 种编码风格克服了“数据流”编码方式的可读性差、抽象级低、仿真时间长等缺点。“二进程”编码风格的具体措施是:①所有的端口和信号声明采用记录的形式进 行说明,如按输入输出分类进行记录说明;②每个实体只有两个进程,一个组合进程和一个时序进程;③在组合进程中全部采用变量(而不是信号),以使用结构化 的顺序编码方式;④在时序

进程中通过时钟同步,进行状态的转换。

“二进程”的编码风格的模型可用图4来表示。它基本与状态机模型一样,只是组合进程部分,采用变量形式进行结构化顺序编码,来完成下一个时钟周期的输出和下一个状态进行计算。时序进程部分是在时钟的作用下,完成状态转换和输出驱动。

3 软硬件开发3.1 VHDL

Leon2除了VHDL源代码外,还提供了顶层Makefile文件、Modelsim仿真器支持文件、Boot-monitor文件、VHDL测试文件、综合支持文件、Leon2调试用的C语言源文件等,便于对Leon2进行硬件和软件方面的测试。

Leon2的内部结构可以通过模块配置,使处理器具有不同的功能结构。配置的方式可以采用tkconfig脚本进行图形界面的方式进行,也可以直接对包 文件device.vhd进行手动编辑来完成。配置主要是通过修改一系列的常数的声明值来实现的。Leon2中许多模块功能是可以进行配置的,这些配置信 息是在包文件Target.vhd里进行声明的。

Leon2可配置的内容包括:

①所采用的综合工具和目标库。综合的技术可以是:Synplify、Synopsys-DC、Synopsys-FC2、 XST和Leonardo等,目标技术可以是Xilinx的Virtex和Virtex II(FPGA)、Atmel的ATC35和ACT25(0.35/0.25μm CMOS)、TSMC 0.25μm CMOS、UMC 0.25/0.18μm CMOS、以及Actel的ProAsie(FPGA)和Axeellerator(反熔丝FPGA)等。

②整数单元IU的寄存器窗口、乘法器、除法器、 快速跳转和观察点的配置。寄存器窗口可以设置为2~32个,但为了同交叉编译器LECCS兼容必须配置为8个窗口,乘法器可以配置成迭交、16×16加流 水寄存器、16×16、32×8.32×16、32×32等形式。

③浮点处理单元FPU的配置,可以配置为使用meiko或是lth两种浮点处理器之一。

④Cache。Cache组的有效大小可以配置为1~64 KB,但必须是2的次幂,每行可以设置成4~8个字,组的数量可以是1~4。替换算法可以是随机、LRR或LRU,并且指令Cache和数据Cache是 独立进行配置的。

⑤存储器控制器。

⑥Debug配置。

⑦片上外设的配置,如中断控制器、看门狗等。

⑧引导配置。

⑨AMHB总线的相关配置。

⑩PCI配置。

Leon2有四个可综合的顶层文件;

◇leon.vhd一一标准的Leon2顶层;

◇leon_pci.vhd一一标准的Leon2加上PCI接口构成的顶层;

◇leon_eth.vhd一一标准的Leon2加上一个10/100 Mbps以太网MAC构成的顶层;

◇leon_etn_pci.vhd一一标准的Leon2加上一个10/100 Mbps以太网MAC以及PCI接口构成的顶层。

在上述四个顶层的下面,可以很容易地集成用户自己的功能模块。

3.2 系统软件开发

同一般的嵌入式系统的软件开发一样,Leon2应用系统的软件开发需要采用交叉编译环境来进行。Leon2应用系统软件开发主要采用 LECCS(Leon/ERC32 GUN交叉编译系统)。LECCS是由Cynus、OAR和Gaisler研究公司开发的多平台开发系统,是基于GNU系列的可以自由获取的、并带有一系 列附加“点”工具的开发系统。LECCS可以在Linux(-2.2.x或更高)、Solaris(-2.7或更高)和windows(Cygwin- 1.1.7更高)等多种平台上运行。要在Windows平台上运行LECCS,必须安装Cygwin。它一个是Unix层面模拟器。

LECCS包括如下程序包:GCC--3.2.3C/C 编译器、RTEMS--4.6.0-βC/C 实时核、独立C库、GDB-5.3SPARC 交叉调试器、远程调试监视器、GDB的DDD图形前端(仅对Unix)、GDB的GDB-TK图像前端(仅对Windows)、引导PROM的 builder以及Leon调试支持单元监视器等。还包括一些工具,如交叉汇编器、汇编预处理器、GNU连接器、二进制转换器等等。

采用LECCS进行Leon2应用系统软件开发的过程如下:

①利用GCC编译和链接程序;

②利用仿真器了SIM调试程序;

③在远程目标系统上调试程序;

④为独立应用产生引导PROM程序。

LECCS支持两种类型的应用:一种是通常的顺序CC 程序,一种是基于R了EMS核的多任务实时CC 程序。

软件仿真工具可以采用丁SIM。它是一个通用的SPARC架构仿真器,可以对基于ERC-32和Leon的计算系统进行仿真,性能和使用方法可以参见 TSIM用户指南。Leon2为应用系统的调试在硬件上作了极大的支持,那就是它的调试支持单元(DSU)和跟踪缓冲器。具体使用时可参见Leon2用户 手册和leon DSU Monitor用户手册。

4 Leon2的应用

Leon2在国内外都有应用。

国外应用很多,如基于Leon的数字听写机、指纹安全系统、GPS处理机、BIST(内建自测试)等方面的产品。这里简单介绍洛杉矶加州大学的 ThumbPod指纹安全系统,以及Nemerix公司的NJ1030型GPS基带产品。ThumbPod安全系统,是将人的指纹作为身份认证(数字身 份)的安全系统。其原型的硬件系统由Xilinx的FPGA(XC2V1000)、Micron的 256 MHz-DDR-SDRAM、Authentec的AF-S2型手指感应器等构成。CPU采用Leon2处理器核,并通过Leon2的协处理器接口 (CPI)挂接了AES(高级加密系统)协处理器来执行AES算法,以加快加密速度.系统的最大工作时钟频率可以达100 MHz,工作电压可以是3.3/2.5/1.8/1.5V等多种电压。NJl030型GPS基带产品,目标是GPS的L1载波C/A码处理,并提供对WAAS和EGNOS两种增强系统的支持,可以和世界上领先 的第三方的GPS-RF前端设备兼容。

国内,航天部771所和中科院国家天文台都正在或计划研制基于Leon2的星载数据处理系统。这种数据处理系统,不再采用体积大、笨重而又功耗巨大的处 理器芯片(如DSP),而是直接将Leon2放进FPGA。系统将大部分的处理功能硬件化,利用FPAG的丰富资源,将整个系统放入一片FPGA芯片内。 其中的Leon2只进行控制管理和一些必须的计算处理。国家天文台正计划研制基于Leon2的星载系统,系统将处理每日采集到的1780 GB数据。这些数据通过预处理(图像积分、辐射校正、几何校正、磁图处理)、小波压缩、图像格式等,使图像压缩到10GB左右,并下传到地面站。

结 语

本文介绍了Leon2开源处理核的技术特点、软硬件开发过程、国内外的应用实例。技术特点主要是SPARCV8规范、AMBH2.0总线结构、容错设计方 法和VHDL编程风格;对Leon2应用系统的软硬件开发工具和方法进行了介绍;在应用实例中,介绍两种国外的应用和两种国内的应用,其中包括作者正在进 行研制的星载图像处理系统。


LEON2应用于数字机顶盒CPU的FPGA仿真

时间:2009-04-24 10:09:35 来源:现代电子技术 作者:朱旭,徐良波 中船重工第710研究所

摘 要:采用免费软核LEON2作为数字机顶盒的CPU可以降低产品成本。为了使LEON2软核能更快更好地应用于数字机顶盒,选择先在FPGA开发板上建立 基于LEON2处理器的一个原型,通过这个原型对硬件性能进行仿真,并且还可以在线修改程序,这样就很容易验证系统的性能,加速软件开发调试流程。经过在 FPGA开发板上的仿真,对基于LEON2的系统测试取得了预期的效果。

关键词:LEON2;片上系统;嵌入式系统;知识产权核;现场可编程门阵列

0 引 言

近年来,随着数字多媒体业务和Internet网络的迅速发展,新型数字机顶盒可以有效利用我国巨大的有线电视网络资源,完成视频点播、数字电视的接收及接入Internet等综合业务功能。

1 数字机顶盒总体设计方案

数字机顶盒分为两个通道,下行通道接收来自电缆或光纤的有线电视信号,上行通道传输从客户端到服务器端的指令。

下行通道方案如图1所示,调谐器接收来自有线网的高频信号,通过QAM解调器完成信道解码,从载波中分离出包含音、视频和其他数据信息的传送流(TS)。 传送流中一般包含多个音、视频流及一些数据信息。解复用器则用来区分不同的节目,提取相应的音、视频流和数据流,送入MPEG一2解码器和相应的解析软 件,完成数字信息的还原。对于付费电视,条件接收模块对音、视频流实施解扰,并采用含有识别用户和进行记账功能的智能卡,保证合法用户正常收看。MPEG 一2解码器完成音、视频信号的解压缩,经视频编码器和音频D/A变换,还原出模拟音、视频信号,在常规彩色电视机上显示高质量图像,并提供多声道立体声节 目。

上行通道方案如图2所示,用户发送指令,然后经过编码符合网络通信协议,从以太网接口传输到调制器,再经物理信道传给终端服务器。

2 LEON2处理器

嵌入式CPU是数字电视机顶盒的心脏,当数据完成信道解码以后,首先要解复用,把传输流分成视频、音频,使视频、音频和数据分离开。CPU是嵌入式操作系 统的运行平台,它要与操作系统一起完成网络管理,显示管理、有条件接收管理、图文电视解码、数据解码、视频信号的上下变换等功能。

LEON系列32位RISC处理器核的第一个版本是LEONl,它是由欧洲航天局主持设计开发的。LEONl的设计初衷是为了使欧洲能够摆脱在航空航天高 性能嵌入式处理器上对美国的严重依赖。以Jiri Caisler为首的设计团队在完成LEONl后从欧洲航天局独立出来,成立了Gaisler Research公司,后来就推出了LE—ON2处理器。LEON2是一个可配置的微处理器核,使用SPARC V8指令集,它的源代码由可综合的VHDL代码构成。LEON2内部结构如图3所示。

LEON2的整数处理单元是5级流水线设计,采用SPARC V8(IEEE一1754)指令和体系结构,具有分离的数据Cache和指令Cache。LEON2的整数单元包括一个可选的16×16的MAC单元,能 够完成基本的DSP运算,同时还提供了浮点运算单元(FPU)的接口和协处理器(CP)的接口,可以扩展浮点运算和DSP处理。LEON2选用了ARM公 司的AMBA 2.0片上总线标准,用于连接内存控制器、定时器、中断控制器、UART接口、PCI接口、10/100 Mb/s以太网接口等模块。LEON2同时还提供1个调试支持单元和1个调试串口,用于支持片内调试。LEON2的一个非常重要的特点就是具有很好的可配 置性。使用者根据自己的需要,通过一个用tcl/tk脚本编写的图形化界面,对LEON2内核的绝大多数模块进行配置,比如可以配置Cache的大小和访 问方式,是否支持硬件乘/除法,是否需要内存。

Gaisler Research公司还提供了比较完善的基于LEON2的GNU软件开发环境。使用者可以使用TSIM或GRMON进行LEON内核的调试仿真。 LECCS是专门针对LEON的交叉编译系统,可以进行C/C 的编译和调试。SnapGear Linux是基于LClinux的实时Linux内核,它的LEON版提供了对LEON处理器的全面支持,可以支持MMU和NOM—MU等不同配置方案。

由以上描述可以看出,LEON2具有强大的硬件配置和完备的软件开发环境支持,可以承担数字机顶盒CPU要求的各种信号处理任务。

3 在FPGA开发板上建立LEON2的SoC平台3.1 硬件平台的建立

图4就是基于LEON2的平台的模块框图。LE—ON2处理器作为核心部分,片内ROM存放Monitor负责系统初始化和将程序拷贝到片外SRAM内的 任务,片外RAM是FPGA开发板上Memory,用来存放程序和数据。设计的IP核通过AHB总线和LEON2相互交互。

FPGA开发板主要有以下资源:

50MHz有源时钟;

1块Altera公司的核心FPGA芯片EP2C20F484一C8,逻辑单元18 752个;

2片512KB的IS61LV25616一AL SRAM芯片组成32b宽共1MB容量,其中每片设计为可兼容1MB,总共最大可扩充到2MB;

JTAG接口(通过JTAG接口可以从PC机上对EP2C20F484C8进行编程);

串口与计算机COMl相连,可以用于程序下载。

将配置好的LEON2的VHDL代码,加入设计的HDL代码,一起使用Synplify综合工具生成FPGA的网表文件;然后使用Quartus进行布局 布线,将LEON2核同片内ROM和片外SRAM连接,布局布线完成后生成相应的SOF文件;通过JTAG端口将SOF文件下载到片子上去,对FPGA硬 件进行配置,最后占用FPGA资源是5800个逻辑单元,可以达到的时钟频率最大为46MHz。

3.2 软件设计

由于Quartus软件可以预先配置EP2C20F484C8片上ROM,所以可以在LEON2的片上ROM预先配置好的1 KB大小的Monitor软件。Monitor的主要作用是在LEON2系统reset初始化时首先对处理器初始化,对LEON2的存储配置寄存器进行配 置;然后向UART口发送启动信息;等待UART信息。当软件部分使用交叉编译器LECCS在PC上编译完毕后,PC机通过UART口和FPGA开发板相 互通信,就可以将编译好的srec文件下载到:FPGA开发板上,放置在片内ROM里面的Monitor程序就读入程序的内容以及程序的起始地址。开始 Monitor将srec程序拷贝到SRAM程序区,等全部程序下载好以后,Monitor最后1条程序就会自动跳转到程序的起始位置,执行SRAM里的 程序。这样就可以反复修改程序,反复下载程序,便于软件的开发和调试。

4 结 语

通过将片上系统映射到FPGA,这样可以在接近运行速度的前提下,验证硬件和软件。这样不仅为软件部分能尽早地进行开发与调试工作提供了原型,同时也可以在实际运行中发现一些在系统设计中没有注意的地方。最终可以缩短设计周期,同时为ASIC设计一次成功提供了更大的把握。

在FPGA开发板上建立基于LEON2处理器的SoC平台后,使用这个原型系统,就可以很容易验证系统的性能,并且加速软件开发调试流程。


另:据我看的一篇论文讲,有个论文评测了多种目前的软核MicroBlaze and OpenRISC 1200等,leon2是其中性能最棒的!

查看全文
大家还看了
也许喜欢
更多游戏

Copyright © 2024 妖气游戏网 www.17u1u.com All Rights Reserved